실습장비 : HBE – COMBO– 실습 장비의 많은 기능을 모두 이용 하기 위해 노력 하였고 오류 없이 동작 하도록 신경 썼다. Mc6Akbf2pBE$ Max4Soo5Uvg$…
Category Archives: My Work/FPGA / CPLD
[VHDL] led 쉬프트 예제
8개의 LED를 차례대로 켜는 간단한 예제를 만들어 보았다. library ieee; use ieee.std_logic_1164.all; entity tutorial is port ( clk : in std_logic; button : in std_logic; led : out std_logic_vector(7 downto 0) ); end tutorial; architecture ex of tutorial is signal buf : std_logic_vector(led’range):=”00000001″; begin led <= buf; process (clk,button,buf) begin if (button=’1′ and (clk =…
LED Dot Matrix Display 구동 FPGA 소스 코드 및 하드웨어 설계
Capstone Design 작품명 : FPGA 를 이용한 LED 디스플레이 설계 비용 : 약 100만원 기간 : 2008년 3월 11일 ~ 2008년 7월 4일 (4 개월) 21Gw7enyzlA$ LED Dot Matrix Display 구동 FPGA 소스 코드 및 하드웨어 설계 최근 LED(Light Emitting Diode)를 이용한 Display 장치가 긴수명, 고효율, 빠른 반응속도, 저전력소모 등으로 여러 가지 용도를 중심으로 응용이…