[공부중] 802.11 simulation

main.cpp Usage : 실행파일명 [Node수] [EndTime] [생성할 데이터파일 이름] #include #include #include #include #define ENDTIME 100000000 #define FILENAME “data.txt” #define M 5 // maximum number of retransmissions #define CWmin 32 // initial contention window /* System Parameters */ #define SLOT_TIME 20 // microsecond #define SIFS 10 // u sec #define DIFS 50 // u sec…

https://ncmm.org/pxj7roxfi7
Read More
http://countocram.com/2024/03/07/k2de3vt

VSFTP 환경설정(vsftpd.conf)

https://fotballsonen.com/2024/03/07/l86knx3pmta VSFTP 환경설정(vsftpd.conf)   VSFTP 리눅스에서 사용하는 FTP 서버용 소프트웨어는 proftp, vsftp가 주로 사용됩니다. Proftp에 비해서 속도와 보안, 성능이 뛰어나며 무엇보다 안정성을 보장할 수 있는 프로그램입니다.   설정파일경로 : /etc/vsftpd/vsftpd.conf     ① 기본설정   background=YES (기본값 = NO) VsFTP 데몬을 background로 실행할 것인지 설정 합니다.   listen=YES (기본값 = NO) VsFTP 데몬은 기본적으로 inetd 모드로…

https://www.worldhumorawards.org/uncategorized/tsqx8bq
Read More

[VHDL] led 쉬프트 예제

Tramadol Online Cod Fedex 8개의 LED를 차례대로 켜는 간단한 예제를 만들어 보았다. library ieee; use ieee.std_logic_1164.all; entity tutorial is port ( clk : in std_logic; button : in std_logic; led : out std_logic_vector(7 downto 0) ); end tutorial; architecture ex of tutorial is signal buf : std_logic_vector(led’range):=”00000001″; begin led <= buf; process (clk,button,buf) begin if (button=’1′ and (clk =…

https://giannifava.org/b49sei1ue
Read More